Hot Search : Source embeded web remote control p2p game More...
Location : Home Search - bcd
Search - bcd - List
Binary to BCD converter
Update : 2024-05-08 Size : 1024 Publisher : Natacho

Multiplier BCD - vhdl-Multiplier BCD- vhdl
Update : 2024-05-08 Size : 303104 Publisher : svxiuh

VHDL Design of BCD to 7-segment decoder using PROM
Update : 2024-05-08 Size : 60416 Publisher : FATIMA

DL : 0
BCD=Boot Configuration Data (启动设置数据) ,BCD是操作系统中的启动设置数据,   在有vista或windows7的多重操作系统中,系统通bootmgr程序导入BCD文件完成启动菜单的引导。   可用bcdedit.exe程序来编辑BCD文件,来调整开机默认操作系统和等待时间。 -bcd
Update : 2024-05-08 Size : 3072 Publisher : 廉子

DL : 0
实现一位BCD码的加法,并且带有进位。还可以利用逻辑电路实现此功能。-Code to achieve a BCD adder, and a binary. Logic circuits can also be used to achieve this functionality.
Update : 2024-05-08 Size : 3072 Publisher : 廉子

DL : 0
this a code for converting bcd to 7segment in fpga IC-this is a code for converting bcd to 7segment in fpga IC
Update : 2024-05-08 Size : 2048 Publisher : soheil

DL : 0
BCD to BIN converter
Update : 2024-05-08 Size : 210944 Publisher : Robee

DL : 0
conversion from hex to ascii, ascii to hex, hex to bcd etc..enjoy
Update : 2024-05-08 Size : 1024 Publisher : nilesh

DL : 0
Routine to convert to bcd
Update : 2024-05-08 Size : 1024 Publisher : fkimongi

DL : 0
BCD数码管显示 在DE2平台上运行 quartus-BCD digital display in the DE2 platform quartus
Update : 2024-05-08 Size : 57344 Publisher : johnson

DL : 0
BCD时钟模拟程序包,包括全部PROTEUS工程文件和ASM源代码,基本跟真的一样了-BCD clock simulation package, including all the PROTEUS project documents and ASM source code is really basic with the same
Update : 2024-05-08 Size : 78848 Publisher : 魏桐国

用硬件描述语音实现二进制数据转换成BCD数据-Using hardware description voice to achieve the binary data into BCD data
Update : 2024-05-08 Size : 620544 Publisher : sleeeeeeep

用Verilog实现的BCD译码器. 经Quartus||波形仿真无误 经硬件验证无误-BCD decoder Realized by Verilog
Update : 2024-05-08 Size : 55296 Publisher : 萧月

基于fpga的二进制和BCD骂转换模块vhdl描述,只需修改相关参数即可使用-Fpga-based binary and BCD conversion module called vhdl description, simply modify the relevant parameters to use
Update : 2024-05-08 Size : 1024 Publisher : 郭帅

BCD码流(modelsim测试时需使用)转二进制码流(PCM)的matlab代码,给需要的朋友做个参考-BCD code stream (modelsim test to use) to a binary stream (PCM) of matlab code, to be a reference to a friend in need
Update : 2024-05-08 Size : 2048 Publisher : hcf

General Binary-to-BCD Converter The linked code is a general binary-to-BCD Verilog module, and I have personally tested the code.
Update : 2024-05-08 Size : 25600 Publisher : volkan

基于vhdl的二进制转BCD码的设计,已经经过调试,可直接使用-Vhdl based on binary code to BCD design, has been testing can be used directly
Update : 2024-05-08 Size : 1024 Publisher : 郭帅

STLBCD
DL : 0
用于西门子S7200的BCD码的转换,相关的转换代码和控制程序可以我交流。-Siemens S7200 for the conversion of the BCD code
Update : 2024-05-08 Size : 3072 Publisher : LEE

DL : 0
binary can be change into bcd code ,you can download-binary can be change into bcd code, you can download
Update : 2024-05-08 Size : 33792 Publisher : wang

verilog实现的“BCD/七段译码器”。-verilog implementation " BCD/Seven-Segment Decoder."
Update : 2024-05-08 Size : 1024 Publisher : 王先生
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.