Hot Search : Source embeded web remote control p2p game More...
Location : Home Search - bcd
Search - bcd - List
BCD码压缩解压缩BCD码用四位二进制数表示一位十进制数-BCD decompress compressed using BCD four binary said a few decimal number
Update : 2024-05-09 Size : 11264 Publisher : 鲁昕

DL : 0
convert.asm: 1.From ASCII resp. BCD to binary 2.From binary to ASCII resp. BCD 3.From binary to Hex-ASCII Bin_Bcd.c: uchar BcdToBin(uchar val) uchar BinToBcd(uchar val) -convert.asm : 1.From ASCII resp. BCD 2.From binary to binary t o ASCII resp. BCD 3.From binary to Hex-ASCII Bin _Bcd.c : uchar BcdToBin (uchar val) uchar BinToBcd (uch ar val)
Update : 2024-05-09 Size : 5120 Publisher : jack

带分频器的bcd计数电路设计,verilog源码-dividers with the bcd count circuit design, Verilog source
Update : 2024-05-09 Size : 292864 Publisher : 倪璠

DL : 0
bcd转换算法用于bcd转换操作,欢迎下载-bcd conversion algorithm for bcd conversion, welcome to download
Update : 2024-05-09 Size : 1024 Publisher : 驱逐倭寇

DL : 0
单片机编成中常用的几种码制转换BCD,HEX,BIN-SCM organization of several kinds of BCD code system conversion, HEX, BIN
Update : 2024-05-09 Size : 1024 Publisher : 张强

DL : 0
关于bcd码加法器 第一个是一位的 通过了 第二个是四位的没通过?赜赽cd码加法器 第一个是一位的 通过了 第二个是四位的没通过-on bcd yards Adder is a section through one of the second of four is not the adoption of codes increases bcd instruments used in a section of the passage of a second four is not the adoption of bcd yards Adder No. 1 is the adoption of a the second of four is not adopted
Update : 2024-05-09 Size : 6144 Publisher : 齐然

DL : 0
BCD码与16进制转化的汇编程序,比较不错。-BCD with 16 band into the compilation process, quite good.
Update : 2024-05-09 Size : 1024 Publisher : 李志强

binary_to_bcd is used for translating from binare to bcd.-binary_to_bcd is used for translating fro m binare to bcd.
Update : 2024-05-09 Size : 45056 Publisher :

DL : 0
比较方便的实现了16位码到BCD码的转换,拿来和大家分享。-quite easy to achieve the 16 yards to the BCD conversion, and we used to share.
Update : 2024-05-09 Size : 43008 Publisher : huxud

时钟模块之一:二进制转BCD码verilog源代码FPGA advantage编程环境-clock module : BCD switch binary source code Verilog FPGA advantage programming environment
Update : 2024-05-09 Size : 1024 Publisher : dandan

DL : 0
这是一个BCD码的加法的实现程序..用途很广在单片机领域-This is a BCD addition to the realization of the procedure .. uses a wide area in SCM
Update : 2024-05-09 Size : 8192 Publisher : 江明

This build is for developing a "binary-to-BCD" converter for use in // displaying numerals in base-10 so that people can read and interpret the // numbers more readily than they could if the numbers were displayed in // binary or hexadecimal format. Also, a "BCD-to-binary" converter is // tested in this build.-This build is for developing a "binary-to- BCD "converter for use in// displaying numeral 's in base-10 so that people can read and interpre not the// numbers more readily than they could if t he numbers were displayed in// binary or hexade cimal format. Also, a "BCD- to-binary" converter is// tested in stories 's build.
Update : 2024-05-09 Size : 41984 Publisher : 陈朋

DL : 0
浮点转换器,可以对十进制定点数、浮点BCD码和二进制浮点操作数之间进行相互转换。-float converters, and can set the decimal points. BCD floating-point and binary floating-point operation between several mutual conversion.
Update : 2024-05-09 Size : 190464 Publisher : 冯明春

3-8译码器,BCD码转换10进制,计数器-3-8 decoder, 10 BCD switch 229, counter, etc.
Update : 2024-05-09 Size : 8192 Publisher : Gem

DL : 0
BCD码和二进制码转换的硬件实现.pdf-BCD code and binary code conversion hardware. Pdf
Update : 2024-05-09 Size : 140288 Publisher : 张三

基于CPLD的二进制码转换为二十进制(BCD)码的电路[1].pdf-based CPLD binary code into two decimal (BCD) code circuit [1]. Pdf
Update : 2024-05-09 Size : 155648 Publisher : 张三

这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development of the plate diagram, You hope to be a good help! which states : eight priority encoder, multipliers, multi-path selectors, BCD binary switch, adder, subtraction device, the simple state machine, four comparators, seven of the digital control, i2c bus, lcd LCD allocated code switches, serial port, the buzzer sounded, matrix keyboards, Bomadeng, traffic lights, Digital Clock.
Update : 2024-05-09 Size : 4642816 Publisher : Jawen

这是10进制转化到BCD码的三种算法,有需要的用户就请下载。-This is 10 to 229 BCD conversion of the three algorithms, the need for users to download.
Update : 2024-05-09 Size : 1024 Publisher : 副类

SCMASM_51
DL : 0
8个汇编程序,包括进制转换,BCD码转换,中值滤波,浮点数运算等,适合做8位单片机的朋友们。 -eight compilation procedures, including 229 conversion, BCD conversion, filtering, floats, etc., suitable for 8-bit MCU friends.
Update : 2024-05-09 Size : 4096 Publisher : 张朋

count16.vhd 16位BCD计数器VHDL源程序-count16.vhd 16 BCD counter VHDL source
Update : 2024-05-09 Size : 1024 Publisher : 杨奎元
« 1 2 3 4 56 7 8 9 10 ... 50 »
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.