Hot Search : Source embeded web remote control p2p game More...
Location : Home Search - bcd
Search - bcd - List
Binary To BCD Conversion Routine
Update : 2024-05-20 Size : 1024 Publisher : 胡晓斌

DL : 0
L1 precision BCD This function provides a simple means of parsing attribute-value options. Each option is named by a unique string and is given a default value.-L1 precision BCD This function provides a simple means of parsing attribute-value options. Each option is named by a unique string and is given a default value.
Update : 2024-05-20 Size : 95232 Publisher : imennasr

Otherjaime
DL : 0
Multiplicador de dos datos BCD
Update : 2024-05-20 Size : 2774016 Publisher : Ricardo J

DL : 0
频率计设计的各个模块连接的总程序,即把分频器、控制器、计数器、闸门控制、锁存器、显示器都连接起来,测试频率范围为:10Hz~100MHz 第一档:闸门时间为1S时,最大读数为999.999KHz 第二档:闸门时间为0.1S时,最大读数为9999.99KHz 第三档:闸门时间为0.01S时,最大读数为99999.9KHz。 用六位BCD七段数码管显示读数。-The various modules connected to the total program, frequency meter design that are connected to the divider, controllers, counters, gate control, latches, display, test frequency range of: 10Hz ~~ 100MHz speed: gate time 1S when maximum readings 999.999KHz second gear: the gate time 0.1S maximum reading 9999.99KHz third tranche: gate time 0.01S, the maximum readings for 99999.9KHz. Readings with six BCD seven segment LED display.
Update : 2024-05-20 Size : 1024 Publisher : 李雪

DL : 0
设计一个十进制计数器模块,输入端口包括 reset、up_enable 和 clk,输出端口为 count 和 bcd,当 reset 有效时(低电平),bcd 和 count 输出清零,当 up_enable 有效时(高电 平),计数模块开始计数(clk 脉冲数),bcd 为计数输出,当计数为 9 时,count 输出一 个脉冲(一个 clk周期的高电平,时间上与“bcd=9”时对齐)-Design of a decimal counter module, input port, including the reset up_enable clk, output port for the count and bcd, when reset is active (low), the bcd and count output cleared up_enable active (high), count module starts counting the (the CLK pulse number), the BCD count output when the count 9, the count output of the high level, the time of a pulse (a clk cycle with " bcd = 9" when aligned)
Update : 2024-05-20 Size : 1024 Publisher : 李天劲

DL : 0
单相电能表C源码程序,花了几个月做的单相电能表程序,带485和红外通讯,经过完整功能测试,在我公司正常运行。   代码内有16近制数据转化为BCD、通讯和电量方面的数据存储区数组定义、红外及串口发送定义等。-Single-phase energy meter C source program, it took a few months to do a single-phase energy meter program, with 485 and infrared communication, normal operation after a full functional test, in my company. Code 16 near system data into BCD, communications and power data storage area defined by an array of infrared and serial port to send the definition.
Update : 2024-05-20 Size : 69632 Publisher : 肖容

DL : 2
VHDL初级编程实例:动态扫描显示程序、分频器设计程序、8位移位寄存器、BCD计数器设计(任意进制)等等。-VHDL the primary programming examples: dynamic scanning display program, the divider design process, the 8-bit shift register, BCD counter design (any hex), and so on.
Update : 2024-05-20 Size : 11264 Publisher : 罗梵

DL : 0
要求根据寄存器DL中的D3位,完成两个组合BCD数X和Y的加减运算。D3=0,做加法,D3=1,做减法,另外附加了扩张实验-Requirements to complete the combination of the two BCD number of X and Y addition and subtraction in the register DL D3. D3 = 0, addition, D3 = 1, subtraction, in addition to additional expansion experiment
Update : 2024-05-20 Size : 177152 Publisher :

DL : 0
十个数从小到大排序,十六进制字节数到BCD码的转换,一位十六进制数转换成ASCII码,子程序延时128ms程序-failed to translate
Update : 2024-05-20 Size : 1024 Publisher : Jack Bian

This a basic bit banged RS232 using a PIC 12F675 to interface via I2C to the DH11 Temperature and Relative Humidity sensor via a RS232 terminal at 9600 baud. Also provides sample commands for reading and writing to the 12F675 s 256 byte EEPROM and BCD conversion.-This is a basic bit banged RS232 using a PIC 12F675 to interface via I2C to the DH11 Temperature and Relative Humidity sensor via a RS232 terminal at 9600 baud. Also provides sample commands for reading and writing to the 12F675 s 256 byte EEPROM and BCD conversion.
Update : 2024-05-20 Size : 6144 Publisher : Bobzilla

DL : 0
汇编,BCD加法,基本图形显示等,以及拓展设计-assembly the addition of BCD
Update : 2024-05-20 Size : 6144 Publisher : 席维苓

SCMcommon
DL : 0
通用C语言,1:BCD转十进制,2:十进制转BCD,3:求数组平均值,4:数组排序-1:BCD to DEC.2:DEC to BCD.3:mean.4:sort
Update : 2024-05-20 Size : 1024 Publisher : 刘宇飞

DL : 0
十六进制数转BCD码,精典的郭天祥程序,写法精简巧妙,利用简单的移位来实现,占用系统资源少,运行实时性高,可靠性强-Hexadecimal number to BCD classical Debates program, streamlined way of writing clever, simple shift, occupy less system resources, run real-time high reliability
Update : 2024-05-20 Size : 1024 Publisher : lyang

System Verilog code to send BCD values to 7 segments displays
Update : 2024-05-20 Size : 1024 Publisher : souhaku

System Verilog decodificator. Enters a value(binary), drops hundreds, tens and units in BCD
Update : 2024-05-20 Size : 1024 Publisher : souhaku

The PS2 scan code is displayed on BCD 7 segments display.
Update : 2024-05-20 Size : 351232 Publisher : anutzu07

用vhdl实现的bcd编码器,实现bcd编码,实验程序,已经调试成功-To bcd encoder vhdl to achieve the bcd coding, experimental procedures, debugging has been successful
Update : 2024-05-20 Size : 28672 Publisher : zhangmin

汇编语言,BCD七段显示码的初步显示实现,显示结果为00000—>11111—>......—>99999—>00000,该代码适合于汇编语言七段码入门-Assembly language, BCD seven-segment display code preliminary display of 00000-> 11111-> ...-> 99999-> 00000, the code is suitable for assembly language seven-segment code entry
Update : 2024-05-20 Size : 1024 Publisher : 潘炳炎

利用SPMC75制作十六进制数据到BCD码转换,全带代码以及测试程序-Use SPMC75 production of hexadecimal data to BCD conversion, with code and testing procedures
Update : 2024-05-20 Size : 90112 Publisher : 菠菜

ASCII到BCD的互转、及针对LLVAR\LLLVAR的BCD进行封装长度域、数据域;实现银联TLV的相关组包、解析。-ASCII to BCD' s conversion, and for LLVAR \ LLLVAR encapsulated in BCD length field, data field implement the relevant group CUP TLV packet parsing.
Update : 2024-05-20 Size : 4096 Publisher : meng
« 1 2 ... 42 43 44 45 46 4748 49 50 »
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.