Hot Search : Source embeded web remote control p2p game More...
Location : Home Search - ROM
Search - ROM - List
“五笔编码速查系统”执行说明: 1、 光盘目录vc6.0\chapter7\bin\WMVC.EXE为本系统的可执行文件。WORD.TXT和ZI.TXT是系统运行所必需的两个文件。 2、 光盘目录vc6.0\chapter7\SETUP.EXE是本系统的安装程序。 3、 光盘目录vc6.0\chapter7\src\WMVC\*.*为本系统的VC源程序。 “五笔编码速查系统”如何编译: 直接点击编译完成对整个项目的编译。 “五笔编码速查系统”如何部署: 1、 修改更新Web服务器地址和端口 配置检查版本的Web服务器地址,在本例中缺省 使用的是本机,所以在WMSheet.cpp中162行的调用为:httpClient.GET("localhost", "80", "/version.asp", "") 如果自己的机器与配置的情况不符合,要根据具体情况进行设置。 2、 下载安装文件的配置 推荐测试时使用自己本地的机器,即localhost,使用21端口。把安装文件 setup.exe部署到 ftproot,setup.exe在vc6.0\setup目录下。-"Wubi coding Check System" implementation : a CD-ROM directory vc6.0 \ chapter7 \ bin \ WMVC.EXE-based system of the executable. WORD.TXT and ZI.TXT system is necessary for the functioning of the two documents. 2, CD-ROM directory vc6.0 \ chapter7 \ SETUP.EXE is the system setup program. 3, CD-ROM directory vc6.0 \ chapter7 \ src \ WMVC \*.*-based system of VC source. "Wubi coding Check System," how to build : direct hits compiler of the entire project completed compiler. "Wubi coding Check System," how to deploy : a revised update Web server address and port configuration checks version of the Web server address, in this case the default is to use the machine, in which 162 firms WMSheet.cpp call : httpClient.GET ( "localhost" "80", "
Update : 2024-05-07 Size : 6322176 Publisher : 徐夕

“电子元器件绘制系统”执行说明: 1、 光盘目录vc6.0\chapter2\bin\DrawChip.exe为本系统的可执行文件。 2、 光盘目录vc6.0\chapter2\src\DrawChip\*.*为本系统的VC源程序。 “电子元器件绘制系统”如何编译: 直接编译,生成的可执行文件放在 vc6.0\chapter2\bin\目录下,名称为DrawChip.exe。-"electronic mapping system components" implementation : a CD-ROM directory vc6.0 \ chapter2 \ bin \ DrawChip.exe-based system of the executable. 2, CD-ROM directory vc6.0 \ chapter2 \ src \ DrawChip \*.*-based system of VC source. "Electronic Components Rendering System" How compiler : direct compiler, generating executable files on vc6.0 \ chapter2 \ bin \ directory under the name of DrawChip.exe.
Update : 2024-05-07 Size : 3895296 Publisher : 徐夕

用C51实现MCS51系列单片机外接ROM扩充--Using C51, it implements external ROM expansion for MCS51 series SCM.
Update : 2024-05-07 Size : 71680 Publisher : 黎劲

DL : 0
串口ROM编程,内部包括了I2C总线的许多内容,启动总线,结束总线-Serial ROM programming, including the internal I2C Bus many elements, initiated bus, the end of bus
Update : 2024-05-07 Size : 1024 Publisher : ZZ

这里是游戏的ROM想玩游戏的话还是玩这样的游戏,是一个好游戏,看看吧,挺好的-ROM want to play the game the game or playing the game is a good game, let's see it, in very good shape;
Update : 2024-05-07 Size : 29663232 Publisher : 小河

IEEE1394Diag is a GUI application that presents a graphical view of an IEEE1394 network and provides the ability to perform common 1394 operations such as async reads, writes, isoc listens and talks, as well as configuration rom browsing of all nodes present on a bus.
Update : 2024-05-07 Size : 771072 Publisher : 楊智斐

该源码为几个正弦ROM,已经编译并通过,可以直接下载,不需要,内部含有正弦ROM表,还有ROM的宏模块-the source for several sine ROM, has been compiled and passed, can be directly downloaded, not internal ROM containing sine table, the Acer ROM module
Update : 2024-05-07 Size : 243712 Publisher : 刘恒辉

大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。-big screen led to the dot matrix display driver timing. The use of VHDL description language. Rom which documents can be automatically generated using lpm_megcore.
Update : 2024-05-07 Size : 4096 Publisher : 王卫

DL : 0
rom映象识别程序,可以识别出AMI,PHOENIX,AWARD的BIOS映象-rom image identification procedures, can be identified AMI, PHOENIX, AWARD BIOS image
Update : 2024-05-07 Size : 95232 Publisher :

此为本书配套光盘.本书主要内容包括:微型计算机基础知识、IBM-PC微型计算机系统概述、汇编语言程序设计基本方法、三大结构程序设计、子程序设计、汇编语言程序设计等内容。 -this book, CD-ROM-based. The major elements include : micro-computer knowledge, IBM-PC micro-computer system overview, assembly language program design methods, the three major structural design process, the subroutine design, assembly language programming information.
Update : 2024-05-07 Size : 13939712 Publisher : 陶立欣

该程序代码实现对Flash Rom AM29LV160烧写数据的功能-code to achieve the right Flash Rom AM29LV160 burning data functions
Update : 2024-05-07 Size : 3072 Publisher :

DL : 0
网卡 BOOT ROM 的 64 住源程序。模块有:核心代码、H文件、网卡驱动程序、头代码文件。-card BOOT ROM of the 64 living source. Modules are : core code, H, NIC drivers, the first source document.
Update : 2024-05-07 Size : 47104 Publisher : 刘老师

This zip file shows the use ROM Services in order to speed up an application and reduce its code size. It shows how to load a method of the Xmodem service and obtain a first level bootloader. Includes main.html file for help.-This zip file shows the use ROM Services in o name to speed up an application and reduce its co de size. It shows how to load a method of the Xmode m service and obtain a first level Bootloader. I ncludes main.html file for help.
Update : 2024-05-07 Size : 36864 Publisher : 张爽

本人正在学习vhdl语言,买了套开发板,这些是配套光盘里的内容,非常难得,网上找不到的-I was learning VHDL language, bought a set of development boards, which are compatible CD-ROM's content, and very rare. not online! !
Update : 2024-05-07 Size : 21504 Publisher : 孙强

DL : 0
DVD/CD-ROM 弹开/关闭 1.自动检测出所有的DVD/CD-ROM驱动器,包括虚拟光驱。 2. 对指定的驱动器,弹开/关闭,非常有效! 3. 对虚拟光驱的虚拟光盘,似乎可以“弹出”-DVD/CD-ROM bounce backward/off one. Automatically detect all the DVD/CD-ROM drive actuator, including virtual drives. 2. The designated driver, snap on/off, very effective! 3. Right Virtual Virtual CD-ROM drives seem to be "pop"
Update : 2024-05-07 Size : 26624 Publisher : szh

DL : 0
Flash ROM驱动示例 Intel Flash芯片 i28f160,i28f320: -Flash ROM drive examples i28f160 Intel Flash chips, i28f320 :
Update : 2024-05-07 Size : 2048 Publisher : y

DL : 0
nbfdec是可以把PPC上的rom由nbf转换为nba文件工具,支持自动查询解密码。此为源码。-nbfdec PPC it is possible for the rom by nbf file conversion tools for Houston, automatic support for a secret code. For this source.
Update : 2024-05-07 Size : 6144 Publisher : fossilth

本CD-ROM包括《Verilog-HDL实践与应用系统设计》一书中的全部例子,这些例子全部通过了验证。第七章以后的设计实例,不仅有Verilog-HDL的例子,也附了包括VB、VC++等源程序,甚至将DLL的生成方法也详尽地作了说明。 -the CD-ROM include "Verilog-HDL Practice and Application System Design," a book the whole Examples of these examples were passed certification. After the seventh chapter, a design example is not only Verilog-HDL example, the report include VB, VC and other source files, even DLL generator also described in detail.
Update : 2024-05-07 Size : 784384 Publisher : 东子

DL : 0
* PC Bios ROM font extractor * Note: ascent field of produced C file must be hand-editted-* PC Bios ROM font extractor* Note : ascent field of produced C file must be hand-Sa'edi tted
Update : 2024-05-07 Size : 2048 Publisher : hmx

DL : 0
Rom Backup Tool for PPC, be sure to backup all data before use this tools-Rom Backup Tool for PPC. be sure to backup all data before use this tools
Update : 2024-05-07 Size : 17408 Publisher : khwm2005
« 1 2 3 45 6 7 8 9 10 ... 50 »
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.