Hot Search : Source embeded web remote control p2p game More...
Location : Home Search - FIFO
Search - FIFO - List
FTDI FT2232C 應用程式與測試程式-FTDI FIFO applications and testing program
Update : 2024-05-16 Size : 78848 Publisher : 黃彥華

此程序为串口芯片tl16c750在uClinux + s3c44b0环境下的驱动程序.tl16c750R FIFO设置为64BYTES, 除此之外,此程序还能缓存20条已经收到的串口数据.即存储数据量为20*64=1280BYTES的串口数据,而不用担心未及时读串口时发生数据的丢失现象.此外,附此驱动的测试程序,以及编译后的映像文件.-procedure for the serial port chip tl16c750 uClinux s3c44b0 environment of flooding action procedures. tl16c750R FIFO set to 64 BYTES, in addition, This procedure can cache 20 has been received by the serial data. that the data storage capacity of 20* 64 = 1280BYT ES Serial data, and do not have to worry about failure occurred when reading serial data loss phenomenon. In addition, Report this driver testing procedures, and compile the map file.
Update : 2024-05-16 Size : 296960 Publisher : 张大海

使用Altera芯片实现对4个SAA7111A视频A/D芯片的采集控制,将图像数据存入同步FIFO-AL422B-use Altera chip to 4 SAA7111A Video A/D chip to control the collection, image data are stored in synchronous FIFO- AL422B
Update : 2024-05-16 Size : 1024 Publisher : 古韦剑

Wavelets have widely been used in many signal and image processing applications. In this paper, a new serial-parallel architecture for wavelet-based image compression is introduced. It is based on a 4-tap wavelet transform, which is realised using some FIFO memory modules implementing a pixel-level pipeline architecture to compress and decompress images. The real filter calculation over 4 · 4 window blocks is done using a tree of carry save adders to ensure the high speed processing required for many applications. The details of implementing both compressor and decompressor sub-systems are given. The primarily analysis reveals that the proposed architecture, implemented using current VLSI technologies, can process a video stream in real time.-Wavelets have been widely used in many sign al and image processing applications. In this p aper. a new serial-parallel architecture for wavele t-based image compression is introduced. It is based on a 4-tap wavelet transform. which is realized using some FIFO memory module 's implementing a pixel-level pipeline archite cture to compress and decompress images. The're al filter calculation over 4 blocks window is done using a tree of carry save adders to ensure t he high speed processing required for many appl ications. The details of implementing both com pressor decompressor and sub-systems are give n. The primarily analysis reveals that the prop osed architecture, VLSI implemented using current technologies, can process a video stream in real time.
Update : 2024-05-16 Size : 2837504 Publisher : sdfafaf

包括各种类型存储器的VHDL描述,如FIFO,双口RAM等 -including various types of memory VHDL description, such as FIFO, Dual Port RAM, etc.
Update : 2024-05-16 Size : 615424 Publisher : ruan

C8051F040 读FIFO数据并且通过串口发送至PC-C8051F040 read FIFO data sent through the serial port to PC
Update : 2024-05-16 Size : 1024 Publisher : sjiton

通用存储器VHDL代码库,The Free IP Project VHDL Free-FIFO, Quartus standard library. -generic VHDL code for memory, The Free Project VHDL IP Free-FIFO, Quartus standard library.
Update : 2024-05-16 Size : 23552 Publisher : Jawen

DL : 0
操作系统存储器管理实验的C语言模拟程序,采用FIFO和LRU两种算法-experimental operating system memory management in C language simulation procedures and two FIFO and LRU algorithm
Update : 2024-05-16 Size : 1024 Publisher : 胡恩

fifo的重要文章,非常经典,cummings的两篇再加上一些其他的,读过不后悔-fifo the important articles, very classic, the two cummings with some other, read no regrets
Update : 2024-05-16 Size : 476160 Publisher : 梦梦

DL : 0
c做的调度算法程序,包括fifo,有优先级等-c doing scheduling algorithm procedures, including fifo, priority, etc.
Update : 2024-05-16 Size : 1024 Publisher : 姚义磊

DL : 0
AMR7 队列驱动。 LPC2138基于ucos的中间件,FIFO发送队列缓冲,信号量同步,用于发送批量数据. -AMR7 cohort driven. Based on the LPC2138 OUT middleware, FIFO buffer this cohort, the signal synchronized, used to send bulk data.
Update : 2024-05-16 Size : 49152 Publisher : 高明

DL : 0
帧同步检测源码,包括同步跟踪模块,fifo,分频模块,还有系统的测试平台-frame synchronization source detection, including synchronous tracking module, fifo, frequency module, and system test platform
Update : 2024-05-16 Size : 6144 Publisher : liu

DL : 0
PAGES3完成了程序的 基本框架,FIFO()除了命中和置换情况外基本完成,但是修改位示图的子函数 出现问题,得不到正确的行数和列数,有时更改不了0 PAGES4修正了3中的问题,完成FIFO() PAGES5修正了FIFO()中执行置换时未将位示图中的对应块置0 PAGES6完成了LRU() PAGES7优化了界面,修正了切换算法后访问次数与上次算法相连的错误 PAGES8完成了OPT()修正了在页面置换切换时页表内存位示图不能各自初始化的错误。发现OPT()中页面置换时出现的错误。 位示图置0和置1时出错 。而且输出的页表缺失项目 PAGES9好像是修正了 8中的错误,现在还没有找到让9中OPT()发生置换的测试用例 PAGES10找到8,9中出错原因,在于置换时没有得到最久要访问的Memory[i] PAGES11修正10中的错误。完成OPT() 发现了LRU()输出界面的信息冗余,已经更正 PAGES12删除了编程过程中的测试信息 PAGES13修正了判断溢出时的一个错误。将判断的〈=改为〈-PAGES3 completed a basic framework of the procedure, FIFO () except hit and replacement of the foreign basically completed, but changes Bitmap Functions of the problem, not the correct number of rows and columns, and sometimes change can PAGES4 amended 0 of 3, completed FIFO () PAGES5 amended the FIFO () implementation replacement failed to Bitmap the corresponding block home 0 PAGES6 completed the LRU () PAGES7 optimize the interface that the handoff algorithm with the number of visits after the last algorithm linked to the wrong PAGES8 completed the OPT () of the amendment pages replacement when switching memory page table Bitmap not their initialization errors. Found OPT () replacement pages at the mistakes. Bitmap home and home 0 errors 1:00. And the output page table missing items PAG
Update : 2024-05-16 Size : 10240 Publisher : billdong

verilog HDL原码 一种简单的同步FIFO原码,可以被综合-verilog HDL original code a simple synchronous FIFO original code, which can be integrated
Update : 2024-05-16 Size : 1024 Publisher : zxz

这是FIFO程序,开发工具是ISE或QUartus。-procedures, development tools or QUartus ISE.
Update : 2024-05-16 Size : 1024 Publisher : 黄德勇

可综合的FIFO存储器,全部在一个压缩包中,测试过,可以使用.-be integrated FIFO memory, all in a compressed package, tested, can be used.
Update : 2024-05-16 Size : 507904 Publisher : 藏瑞

DL : 0
VHDL设计——FIFO存储器设计-VHDL design-- FIFO design
Update : 2024-05-16 Size : 7168 Publisher : 钱伟康

DL : 0
功能介绍: l 输入进程P总共有的页面数,l 并输入系统已经在内存中分配的页面数。当所分配的页面数大于进程P的页面数时,l 则不l 需要进行页面调度工作。 l 由进程P的页面数目,l 程序随机生成一些访问内存的页面号,l 为简化程序,l 这些页面号的数目同l 进程P的进程数目一致(这个缺陷也有待改进)。 l 由用户选择FIFO算法还是LRU算法对进程P的页面访问内存分配的页面进行分配,l 并输出具体的页面访问过程。 原理介绍: 请求页式管理中的置换算法:置换算法在内存中没有空闲页面时调用。它的目的是选出一个被淘汰的页面。如果内存中有足够的空闲页面存放所调入的页,则不必使用置换算法。 先进先出算法FIFO:该算法总是选择在内存驻留时间最长的一页将其淘汰。其认为先调入内存的页不再被访问的可能性要比其他页大,因而选择最先调入内存的页换出。 最近最久没使用页面淘汰算法LRU-functional presentations : 1 P process imported a total of some pages. l and enter the system has been allocated in memory of a few pages. When the distribution of more than a few pages of the process P pages, l l not need pages scheduling work. L P process by the number of pages, l procedures for random number generation to the memory pages, l To simplify procedures, l these pages, with the number of P l process consistent number of processes (the defect has yet to be improved). L chosen by the user FIFO or LRU algorithm algorithm to process P pages allocated to the memory pages for distribution, l and output specific pages course of the visit. Principle introduced : request for the page-style management of replacement algorithm : Replacement Algorithms in memory when no spare pages call.
Update : 2024-05-16 Size : 82944 Publisher :

经典实例,用C++语言制作的the fifo method of memory distribute-classic example, C language fifo produced by the method of memory distribute
Update : 2024-05-16 Size : 92160 Publisher : liyun

DL : 0
用C实现queue(FIFO),stack(BIFO)功能.-C achieve queue (FIFO), the stack (BIFO) function.
Update : 2024-05-16 Size : 3072 Publisher : 111111111111
« 1 2 ... 10 11 12 13 14 1516 17 18 19 20 ... 50 »
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.