Hot Search : Source embeded web remote control p2p game More...
Location : Home Downloads Other resource

SPI_collect

  • Category : Other resource
  • Tags :
  • Update : 2008-10-13
  • Size : 1.27mb
  • Downloaded :0次
  • Author :danielmu
  • About : danielmu
  • PS : If download it fails, try it again. Download again for free!
Introduction - If you have any usage issues, please Google them yourself
Related to the VHDL SPI realize. Including SPI official agreement, when used to develop several theses, Chinese notes attached SPI IPcore, there is a simplified master mode the SPI realize the VHDL code
Packet file list
(Preview for download)
Packet : 103244822spi_collect.rar filelist
SPI_collect\S12SPIV3.pdf
SPI_collect\S12SPIV4.pdf
SPI_collect\spi_comment.vhd
SPI_collect\SPI串行总线接口的Verilog实现.pdf
SPI_collect\vspi.v
SPI_collect\基于PC_104总线与CPLD的SPI接口设计.kdh
SPI_collect\在FPGA中实现SPI与总线接口转换.pdf
SPI_collect\应用CPLD实现ASI_SPI接口转换的方法.pdf
SPI_collect\spi_test.vhd
SPI_collect
Related instructions
  • We are an exchange download platform that only provides communication channels. The downloaded content comes from the internet. Except for download issues, please Google on your own.
  • The downloaded content is provided for members to upload. If it unintentionally infringes on your copyright, please contact us.
  • Please use Winrar for decompression tools
  • If download fail, Try it againg or Feedback to us.
  • If downloaded content did not match the introduction, Feedback to us,Confirm and will be refund.
  • Before downloading, you can inquire through the uploaded person information

Nothing.

Post Comment
*Quick comment Recommend Not bad Password Unclear description Not source
Lost files Unable to decompress Bad
*Content :
*Captcha :
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.