Hot Search : Source embeded web remote control p2p game More...
Location : Home Downloads SourceCode Embeded-SCM Develop Other Embeded program

ddr_sdram_controller

  • Category : Other Embeded program
  • Tags :
  • Update : 2012-11-26
  • Size : 2.29mb
  • Downloaded :0次
  • Author :Jerry
  • About : Nobody
  • PS : If download it fails, try it again. Download again for free!
Introduction - If you have any usage issues, please Google them yourself
DDR SDRAM Controller design
Packet file list
(Preview for download)
ddr sdram controller\ddr_sdram.pdf
....................\verilog
....................\.......\doc
....................\.......\...\ddr_sdram.pdf
....................\.......\model
....................\.......\.....\mt46v4m16.v
....................\.......\readme.txt
....................\.......\route
....................\.......\.....\ddr_sdram.csf
....................\.......\.....\ddr_sdram.esf
....................\.......\.....\ddr_sdram.psf
....................\.......\.....\ddr_sdram.quartus
....................\.......\.....\ddr_sdram.vqm
....................\.......\.....\pll1.v
....................\.......\simulation
....................\.......\..........\ddr_compile_all.v
....................\.......\..........\ddr_sdram_tb.v
....................\.......\..........\modelsim.ini
....................\.......\..........\readme.txt
....................\.......\..........\work
....................\.......\..........\....\altclklock
....................\.......\..........\....\..........\verilog.psm
....................\.......\..........\....\..........\_primary.dat
....................\.......\..........\....\..........\_primary.vhd
....................\.......\..........\....\ddr_command
....................\.......\..........\....\...........\verilog.psm
....................\.......\..........\....\...........\_primary.dat
....................\.......\..........\....\...........\_primary.vhd
....................\.......\..........\....\ddr_control_interface
....................\.......\..........\....\.....................\verilog.psm
....................\.......\..........\....\.....................\_primary.dat
....................\.......\..........\....\.....................\_primary.vhd
....................\.......\..........\....\ddr_data_path
....................\.......\..........\....\.............\verilog.psm
....................\.......\..........\....\.............\_primary.dat
....................\.......\..........\....\.............\_primary.vhd
....................\.......\..........\....\ddr_sdram
....................\.......\..........\....\.........\verilog.psm
....................\.......\..........\....\.........\_primary.dat
....................\.......\..........\....\.........\_primary.vhd
....................\.......\..........\....\ddr_sdram_tb
....................\.......\..........\....\............\verilog.psm
....................\.......\..........\....\............\_primary.dat
....................\.......\..........\....\............\_primary.vhd
....................\.......\..........\....\mt46v4m16
....................\.......\..........\....\.........\verilog.psm
....................\.......\..........\....\.........\_primary.dat
....................\.......\..........\....\.........\_primary.vhd
....................\.......\..........\....\pll1
....................\.......\..........\....\....\verilog.psm
....................\.......\..........\....\....\_primary.dat
....................\.......\..........\....\....\_primary.vhd
....................\.......\..........\....\_info
....................\.......\source
....................\.......\......\altclklock.v
....................\.......\......\ddr_Command.v
....................\.......\......\ddr_control_interface.v
....................\.......\......\ddr_data_path.v
....................\.......\......\ddr_sdram.v
....................\.......\......\Params.v
....................\.......\......\pll1.v
....................\.......\synthesis
....................\.......\.........\synplicity
....................\.......\.........\..........\ddr_data_path.srm
....................\.......\.........\..........\ddr_data_path.srr
....................\.......\.........\..........\ddr_data_path.srs
....................\.......\.........\..........\ddr_data_path.tlg
....................\.......\.........\..........\ddr_data_path.xrf
....................\.......\.........\..........\ddr_sdram.prj
....................\.......\.........\..........\ddr_sdram.sdc
....................\.......\.........\..........\ddr_sdram.srm
...............
Related instructions
  • We are an exchange download platform that only provides communication channels. The downloaded content comes from the internet. Except for download issues, please Google on your own.
  • The downloaded content is provided for members to upload. If it unintentionally infringes on your copyright, please contact us.
  • Please use Winrar for decompression tools
  • If download fail, Try it againg or Feedback to us.
  • If downloaded content did not match the introduction, Feedback to us,Confirm and will be refund.
  • Before downloading, you can inquire through the uploaded person information

Nothing.

Post Comment
*Quick comment Recommend Not bad Password Unclear description Not source
Lost files Unable to decompress Bad
*Content :
*Captcha :
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.