Hot Search : Source embeded web remote control p2p game More...
Location : Home Downloads Documents Software Engineering

TIC_TAC_game_gate_level

  • Category : Software Engineering
  • Tags :
  • Update : 2012-11-26
  • Size : 258kb
  • Downloaded :0次
  • Author :chen-che,wemg
  • About : Nobody
  • PS : If download it fails, try it again. Download again for free!
Introduction - If you have any usage issues, please Google them yourself
Tic Tac Game is a classic game. Two players are using code-named “0” and “1”, fill in rotation in TICTACTOEMIDLET. If any player gates the first straight line will win, and if nobody is successful then the tie 1. Top module name: TT (Filename: TT.v) 2. Input pins: IN_AtoI [8:0] 3. Output pins: OUT [1:0] 4. All of the input are 1-bit numbers. 5. You can only use the following gates in Table I, and it its neede to include ALL the delay information (Tplh, Tphl) in your design.  It can only use not, and, nand, or, nor, xor, xnor logic gates.  It can only use up to 4-input logic gates.
Packet file list
(Preview for download)
TIC_TAC_game_gate_level
.......................\01_run.f
.......................\GATE_LIB.v
.......................\INCA_libs
.......................\.........\.ncv.lock
.......................\.........\cds.lib
.......................\.........\hdl.var
.......................\.........\irun.lnx86.08.10.nc
.......................\.........\...................\.ncrun.lock
.......................\.........\...................\.ncv.lock
.......................\.........\...................\bind.lst.lnx86
.......................\.........\...................\cds.lib
.......................\.........\...................\cdsrun.lib
.......................\.........\...................\files.ts
.......................\.........\...................\hdl.var
.......................\.........\...................\hdlrun.var
.......................\.........\...................\ncelab.args
.......................\.........\...................\ncelab.env
.......................\.........\...................\ncelab.hrd
.......................\.........\...................\ncsim.args
.......................\.........\...................\ncsim.env
.......................\.........\...................\ncsim_restart.args
.......................\.........\...................\ncsim_restart.env
.......................\.........\...................\ncverilog.args
.......................\.........\...................\ncvlog.args
.......................\.........\...................\ncvlog.env
.......................\.........\...................\ncvlog.files
.......................\.........\...................\temp
.......................\.........\snap.lnx86.nc
.......................\.........\.............\.elab.args
.......................\.........\.............\.hard.args
.......................\.........\.............\.ncv.lock
.......................\.........\.............\bind.lst.lnx86
.......................\.........\.............\cds.lib
.......................\.........\.............\hdl.var
.......................\.........\snap.sun4v.nc
.......................\.........\.............\.elab.args
.......................\.........\.............\.hard.args
.......................\.........\.............\.ncv.lock
.......................\.........\.............\bind.lst.sun4v
.......................\.........\.............\cds.lib
.......................\.........\.............\hdl.var
.......................\.........\worklib
.......................\.........\.......\.cdsvmod
.......................\.........\.......\.inca.db.132.lnx86
.......................\.........\.......\.inca.db.164.lnx86
.......................\.........\.......\.inca.db.166.sun4v
.......................\.........\.......\cdsinfo.tag
.......................\.........\.......\inca.lnx86.132.pak
.......................\.........\.......\inca.lnx86.164.pak
.......................\.........\.......\inca.sun4v.166.pak
.......................\ncverilog.log
.......................\novas.rc
.......................\nWaveLog
.......................\........\novas.rc
.......................\........\nWave.cmd
.......................\........\nWave.cmd.bak
.......................\........\pes.bat
.......................\........\turbo.log
.......................\PATTERN.v
.......................\TESTBED.v
.......................\TT.fsdb
.......................\TT.v
Related instructions
  • We are an exchange download platform that only provides communication channels. The downloaded content comes from the internet. Except for download issues, please Google on your own.
  • The downloaded content is provided for members to upload. If it unintentionally infringes on your copyright, please contact us.
  • Please use Winrar for decompression tools
  • If download fail, Try it againg or Feedback to us.
  • If downloaded content did not match the introduction, Feedback to us,Confirm and will be refund.
  • Before downloading, you can inquire through the uploaded person information

Nothing.

Post Comment
*Quick comment Recommend Not bad Password Unclear description Not source
Lost files Unable to decompress Bad
*Content :
*Captcha :
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.