Hot Search : Source embeded web remote control p2p game More...
Location : Home Downloads SourceCode Embeded-SCM Develop SCM

8051_IP_Verilog

  • Category : SCM
  • Tags :
  • Update : 2012-11-26
  • Size : 497kb
  • Downloaded :0次
  • Author :carol
  • About : Nobody
  • PS : If download it fails, try it again. Download again for free!
Introduction - If you have any usage issues, please Google them yourself
Verilog source code version of 8051, including rtl, testbench, synthesis
Packet file list
(Preview for download)
8051核(Verilog版)
.................\8051的Verilog源代码
.................\...................\8051_rtl
.................\...................\........\verilog
.................\...................\........\.......\oc8051_acc.v
.................\...................\........\.......\oc8051_alu.v
.................\...................\........\.......\oc8051_alu_src1_sel.v
.................\...................\........\.......\oc8051_alu_src2_sel.v
.................\...................\........\.......\oc8051_alu_src3_sel.v
.................\...................\........\.......\oc8051_comp.v
.................\...................\........\.......\oc8051_cy_select.v
.................\...................\........\.......\oc8051_decoder.v
.................\...................\........\.......\oc8051_defines.v
.................\...................\........\.......\oc8051_divide.v
.................\...................\........\.......\oc8051_dptr.v
.................\...................\........\.......\oc8051_ext_addr_sel.v
.................\...................\........\.......\oc8051_fpga_tb.v
.................\...................\........\.......\oc8051_fpga_top.v
.................\...................\........\.......\oc8051_immediate_sel.v
.................\...................\........\.......\oc8051_indi_addr.v
.................\...................\........\.......\oc8051_multiply.v
.................\...................\........\.......\oc8051_op_select.v
.................\...................\........\.......\oc8051_pc.v
.................\...................\........\.......\oc8051_port_out.v
.................\...................\........\.......\oc8051_psw.v
.................\...................\........\.......\oc8051_ram_rd_sel.v
.................\...................\........\.......\oc8051_ram_sel.v
.................\...................\........\.......\oc8051_ram_sel1.v
.................\...................\........\.......\oc8051_ram_top.v
.................\...................\........\.......\oc8051_ram_top1.v
.................\...................\........\.......\oc8051_ram_wr_sel.v
.................\...................\........\.......\oc8051_reg1.v
.................\...................\........\.......\oc8051_reg2.v
.................\...................\........\.......\oc8051_reg3.v
.................\...................\........\.......\oc8051_reg4.v
.................\...................\........\.......\oc8051_reg5.v
.................\...................\........\.......\oc8051_reg8.v
.................\...................\........\.......\oc8051_rom_addr_sel.v
.................\...................\........\.......\oc8051_sp.v
.................\...................\........\.......\oc8051_tb.v
.................\...................\........\.......\oc8051_timescale.v
.................\...................\........\.......\oc8051_top.v
.................\...................\........\.......\oc8051_top1.v
.................\...................\........\.......\read me.txt
.................\...................\asm
.................\...................\...\test.asm
.................\...................\bench
.................\...................\.....\verilog
.................\...................\.....\.......\oc8051_defines.v
.................\...................\.....\.......\oc8051_fpga_tb.v
.................\...................\.....\.......\oc8051_tb.v
.................\...................\.....\.......\oc8051_timescale.v
.................\...................\sim
.................\...................\...\rtl_sim
.................\...................\...\.......\out
.................\...................\...\.......\...\VERILOG.LOG
.................\...................\...\.......\run
.................\...................\...\.......\...\MAKE
.................\...................\...\.......\...\make_fpga
.................\...................\...\.......\src
.................\...................\...\.......\...\verilog
.................\...................\...\.......\...\.......\oc8051_ram.v
.................\............
Related instructions
  • We are an exchange download platform that only provides communication channels. The downloaded content comes from the internet. Except for download issues, please Google on your own.
  • The downloaded content is provided for members to upload. If it unintentionally infringes on your copyright, please contact us.
  • Please use Winrar for decompression tools
  • If download fail, Try it againg or Feedback to us.
  • If downloaded content did not match the introduction, Feedback to us,Confirm and will be refund.
  • Before downloading, you can inquire through the uploaded person information

Nothing.

Post Comment
*Quick comment Recommend Not bad Password Unclear description Not source
Lost files Unable to decompress Bad
*Content :
*Captcha :
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.