Hot Search : Source embeded web remote control p2p game More...
Location : Home Search - pulse
Search - pulse - List
SCM15
DL : 0
我们制作的频率测量计, 合理利用单片机的各项性能, 对被测信号、标准信号进行脉冲计数。本产品能信号频率进行精确测量。 产品的产生, 将极大程度上突出价格便宜, 取材方便、就地, 电路简易的广泛适用特点, 值得推广! -We produced frequency measurement, the rational use of single-chip microcomputer of the performance, of the measured signal, the standard pulse counting signals. This product can carry out accurate measurement of signal frequency. Product generation, will highlight a great extent on cheap, easy, in situ, the circuit characteristics of simple widely applicable, it is worth promoting!
Update : 2024-05-19 Size : 3072 Publisher :

SCM57
DL : 0
我们制作的频率测量计, 合理利用单片机的各项性能, 对被测信号、标准信号进行脉冲计数。本产品能信号频率进行精确测量。 产品的产生, 将极大程度上突出价格便宜, 取材方便、就地, 电路简易的广泛适用特点, 值得推广! -We produced frequency measurement, the rational use of single-chip microcomputer of the performance, of the measured signal, the standard pulse counting signals. This product can carry out accurate measurement of signal frequency. Product generation, will highlight a great extent on cheap, easy, in situ, the circuit characteristics of simple widely applicable, it is worth promoting!
Update : 2024-05-19 Size : 3072 Publisher :

DL : 0
通过单片机产生40khz的脉冲,驱动超声波探头发射,接收头接收到信号整理后,就可判断出离障碍物的距离-Generated through the single-chip 40kHz pulse, driven ultrasonic probe fired, receiving the first receive signal, after finishing, we can determine the distance from obstacles
Update : 2024-05-19 Size : 110592 Publisher : 威威

DL : 0
c8051f020中 T0 对/INT0外部输入信号脉宽测量-C8051F020 in T0 of/INT0 external input signal pulse width measurement
Update : 2024-05-19 Size : 5120 Publisher : 海逝山蒙

SCMzlg7290
DL : 0
VIIC_C51.C此程序是I2C操作平台(主方式的软件平台)的底层的C子程序,如发送数据 及接收数据,应答位发送,并提供了几个直接面对器件的操作函数,它很方便的 与用户程序连接并扩展..... 注意:函数是采用软件延时的方法产生SCL脉冲,固对高晶振频率要作 一定的修改....(本例是1us机器周期,即晶振频率要小于12MHZ)-VIIC_C51.C this procedure is I2C operating platform (the main mode of the software platform) the bottom of the C subprogram, such as the send data and receive data, send response bit and to provide a number of directly facing the operation of the device function, it is convenient with the user program to connect and expand ..... NOTE: The function is the use of software methods delay SCL pulse, solid crystal of high frequency to make certain amendments to ....( in this case is 1us machine cycle, that is, crystal oscillator frequency to less than 12MHZ)
Update : 2024-05-19 Size : 46080 Publisher : saishindou

用matlab模拟全电视信号,包括场、行消隐脉冲,亮度信号,色度信号,色同步信号-Matlab simulation with the whole television signal, including the field, line blanking pulse, luminance signal, chrominance signal and color sync signal
Update : 2024-05-19 Size : 1024 Publisher : 严敏

SCMspeed
DL : 0
单片机设计的测速表源程序 测量转速,使用霍尔传感器,被测轴安装有12只磁钢,即转轴每转一周,产生12个脉冲,要求将转速值(转/分)显示在数码管上-Single-chip design table source velocimetry measurement speed, the use of Hall sensors, the measured axial installation has 12 magnet, that is, to a week of each axis, resulting in 12 pulse, requested speed value (r/min) is displayed in Digital tube
Update : 2024-05-19 Size : 4096 Publisher : 111111

直流电机、步进电机、舵机的完全驱动。直接GPIO口控制,PWM脉冲信号由TIMER产生。-DC motor, stepping motor, gear drive completely. Direct GPIO port control, PWM pulse signal generated by the TIMER.
Update : 2024-05-19 Size : 194560 Publisher : 陆雪

脉宽测试仪FPGA芯片的VHDL核心程序-Pulse width Tester FPGA chip VHDL core procedures
Update : 2024-05-19 Size : 1024 Publisher : 孙明杰

SCMSPO2
DL : 0
脉搏血氧仪源程序,传上与大家分享,只求19264C液晶例程!-Pulse oximetry source, Chuan-on to share with you just for the sake of 19264C LCD routines!
Update : 2024-05-19 Size : 1024 Publisher : 上官方勇

DL : 0
电话拨号防盗警装置由单片机控制器AT89C2051,脉冲拨号电路,数码管显示电路以及触摸开关构成,源代码使用单片机C,使用KEIL C编译器.-Telephone dial-up anti-theft alarm device by the single-chip controller AT89C2051, pulse dialing circuit, a digital display and touch switch circuit consists of the source code to use single-chip C, using the KEIL C compiler.
Update : 2024-05-19 Size : 3072 Publisher : yaoliang

使用DVCC实验系统中的并行接口芯片8255A的B口作输入口,使工作于方式1,将PB0~PB7连接到手动开关K1~K8,将手动脉冲信号SP作为8255B口的选通信号,连接到PC2。将B品工作于方式1时的中断请求信号(PC0)连接到8255A的IR3,8255A的片选信号无需连接(系统已连接好)。8259A的CS连接地址译码输出端Y6,8259A的端口地址为60H、61H-DVCC experimental system used in parallel interface chip 8255A for the B input port, so that work in one way, will be connected to the PB0 ~ PB7 manually switch K1 ~ K8, the manual pulse signal SP population as 8255B Strobe, connect to PC2. B goods will work in one way at the time of interrupt request signals (PC0) to connect to the 8255A
Update : 2024-05-19 Size : 17408 Publisher : 李兆军

本文介绍了采用VB语言编程,利用CAN现场总线技术以及华控RSM智能模块搭建分布式监控系统的逻辑结构,采用CAN2.0通讯协议实现上位机与模块的数据通信。详细介绍了RSM04隔离型脉冲输入计数器模块与上位计算机的连接与通讯实现。在实验过程中模拟了信号发生源,其发出的信号经模块采集后送至上位机,执行计数值程序后,可以读取计数结果。-This paper introduces the use of VB programming language, the use of CAN field bus technology and intelligent module RSM Hua control structures distributed control system logic structure, the use of communication protocols CAN2.0 realize Between PC and data communications module. Details RSM04 Counter Pulse Input Isolated Module and Host Computer realize the connection and communication. In the experiment to simulate the signal source, the signals collected by the module to the host computer, the implementation of numerical procedures, which can be read by the result of the calculation.
Update : 2024-05-19 Size : 65536 Publisher : 李婷

Analog Device ARM-7 系列之 ADuC_7020 Evaluation Board 内多个学习范例全都是基于 Keil 工程版的 范例,附 ADuC_7020 Evaluation Board 原理图,而范例内容如下: 1.ADC 2.Comparator 3.DAC 4.FlashEE 5.FuncRam 6.INT 7.Mics 8.PLA 9.Pulse 10.S&C 11.TimerTrig 12.UART 13.Varplace-Analog Device ARM-7 Series ADuC_7020 Evaluation Board within a number of learning are all examples of projects based on the version of the Keil example, with ADuC_7020 Evaluation Board schematics, and examples are as follows: 1.ADC 2.Comparator 3.DAC 4.FlashEE 5. FuncRam 6.INT 7.Mics 8.PLA 9.Pulse 10.S
Update : 2024-05-19 Size : 751616 Publisher : kly

Analog Device ARM-7 系列之 ADuC_7024 Evaluation Board 内多个学习范例全都是基于 Keil 工程版的 范例,附 ADuC_7024 Evaluation Board 原理图,而范例内容如下: 1.ADC 2.Comp 3.DAC 4.FlashEE 5.FuncRam 6.INT 7.Mics 8.PLA 9.Pulse 10.PWM 11.S&C 12.TimerTrig 13.UART 14.Varplace
Update : 2024-05-19 Size : 958464 Publisher : kly

DL : 0
这是一款美容医疗仪器程序,有几十脉冲输出,可让僵硬的肌肤回复柔软。-This is a cosmetic medical equipment procedures, has dozens of pulse output that allows rigid back soft skin.
Update : 2024-05-19 Size : 4096 Publisher : 彭云

DL : 0
本程序能够在dsp2812的开发板上实现脉宽调制和LED显示-This procedure can dsp2812 realize the development of on-board pulse width modulation and the LED display
Update : 2024-05-19 Size : 417792 Publisher : 应高峰

matlabPCM
DL : 0
本程序可以对一个模拟信号进行数字化,使用的是PCM即脉冲编码调制,其结果是将样值转化为8位PCM编码。现今语音编码大多数采用这类编码方法-This procedure can be an analog signal to digital, using pulse code modulation or PCM, and the result is kind of value will be translated into eight PCM coding. Most of the current speech coding to adopt this type of coding method
Update : 2024-05-19 Size : 2048 Publisher : 徐健

产生固定持续时间的正弦脉冲,先给出要产生的脉冲信号的特征参数-Have a fixed duration of the sinusoidal pulse, is given to the first pulse signal generated by the characteristic parameters
Update : 2024-05-19 Size : 1024 Publisher : 罗燕群

DL : 0
本程序使用定时器1,采用中断方式,通过不断改变rTCMPB1的值来改变PWM输出脉冲,从而产生不同的乐音。 每进一次中断发送一次rTCMPB1的值和字符AA 在串口调试助手中显示。-This procedure using the timer 1, the use of interruptions, through changing the value of rTCMPB1 to change the PWM output pulse, thereby creating a different music. Progressive disruption of each of the first to send a rTCMPB1 values and character in the serial debug AA assistant display.
Update : 2024-05-19 Size : 121856 Publisher : hyfly2005
« 1 2 ... 43 44 45 46 47 4849 50 »
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.