Hot Search : Source embeded web remote control p2p game More...
Location : Home Search - des simulation
Search - des simulation - List
DL : 0
简单的DES加密解密模拟程序,可以对任意格式的文件操作-simple simulation DES encryption and decryption procedures, the arbitrary format file manipulation
Update : 2024-03-28 Size : 3675136 Publisher : 木木

DL : 0
用VERILOG语言实现的数据加密标准代码,在QUARTUS5.1上仿真过-Using Verilog language code of the Data Encryption Standard, in the simulation had QUARTUS5.1
Update : 2024-03-28 Size : 1436672 Publisher : zhang feng

DL : 0
主要介绍算法的实现方式和流水线实现,而且有详细的原理介绍,推理,源码和仿真结果-The main way of introduction Algorithm and pipelining to achieve, but also has a detailed introduction of the principle, reasoning, source code and simulation results
Update : 2024-03-28 Size : 162816 Publisher : 李佳

利用ANSI X9.17标准模拟了一个伪随机数发生器,内核加密算法调用3DES算法,能够按照标准要求产生64位伪随机数和64位种子值。-Use of ANSI X9.17 standard simulation of a pseudo-random number generator, called the kernel encryption algorithm 3DES algorithm, in accordance with the standard requirements to produce 64-bit pseudo-random number and 64-bit seed value.
Update : 2024-03-28 Size : 192512 Publisher : wildkaede

DL : 0
智能卡模拟器,可模拟智能卡.支持DES/3DES,模拟ISO7816功能-Smart card simulator can simulate a smart card. Support DES/3DES, simulation ISO7816 function
Update : 2024-03-28 Size : 41984 Publisher : zhongxinbo

DL : 0
这是我用Verilog写的DES加解密程序,准确的说这是一份实验报告,里面不但有程序还有简单的注释[主要是针对仿真的波形的],我主要写的是主控部分,密钥生成部分参考了下版原康宏的程序.该程序即可加密也可解密,选用CycloneII器件即能跑到100Mhz以上.-This is what I used to write Verilog the DES encryption and decryption procedures, accurate to say that this is a test report, which not only have a simple Notes program [is mainly directed against the waveform simulation], I write is the main control part key generation is partly based on the next version of the original Yasuhiro procedures. The program can also be encrypted can be decrypted, CycloneII optional devices which can run more than 100Mhz.
Update : 2024-03-28 Size : 296960 Publisher : jesse

Full Des Simulation Code
Update : 2024-03-28 Size : 1887232 Publisher : esl

matlabDES
DL : 0
数据加密标准DES算法的Matlab实现 -NEWPNN- Designed probabilistic neural network SIM- on probabilistic neural network simulation
Update : 2024-03-28 Size : 2048 Publisher : 阿祥古

DL : 0
模拟DES算法,程序使用八位密钥。 2. 程序使用 输入:输入明文,输入8位密钥。 点击“加密”按钮,即可获得加密密文。 输出:在编辑框中显示密文。 点击“解密”按钮,即可获得明文。 输出:在编辑框中显示明文。 -Simulation DES algorithm, the use of eight key procedures. 2. Procedures using input: enter explicitly, enter the 8-bit key. Click " Encrypt" button, you can access encrypted ciphertext. Output: in the edit box ciphertext. Click on the " decrypt" button, you can get clear. Output: show explicitly in the edit box.
Update : 2024-03-28 Size : 1991680 Publisher : 木佳强

操作系统实验:模拟LINUX操作系统的虚存管理机制,采用多道程序控制方式、多级页表、FIFO请求方式完成对实存辅存的映射管理,处理访存请求。实现了FIFO、LRU、LFU等页表淘汰算法。-Experimental operating system: LINUX operating system simulation of virtual memory management mechanism, the use of multi-channel control mode, multi-level page table, FIFO request a way to keep the existence of the mapping Des management, dealing with the request to visit depositors. The realization of the FIFO, LRU, LFU algorithm, such as page table out.
Update : 2024-03-28 Size : 610304 Publisher : 李毅

Encore un démineur? Bah oui! Mais la c est dans un cadre éducatif au cegep (oui a québec on a des cegep) en 2e années... Voyez nos standard de programmation, un code extrèmement lisible :s bien commenté :S avec la javadoc IDE Eclipse Galileo Environ 3 semaine à raison de 7h semaine (moins que ç a bien sur mais approximé!) Les images sont celles de kMines (Libre)
Update : 2024-03-28 Size : 548864 Publisher : salwa

用HDL实现的DES加密算法,通过前仿真,希望对大家有帮助-HDL implementation of the DES with the encryption algorithm, by pre-simulation, we want to help
Update : 2024-03-28 Size : 27648 Publisher : su

DL : 0
des解密加密的verilog源代码其中包含有测试源代码,仿真结果图-verilog des decrypt encrypted source code which includes testing the source code, Simulation results
Update : 2024-03-28 Size : 343040 Publisher : cong

DL : 0
节点实时位置跟踪的仿真代码,包括trace,nam-Nodes in real-time location tracking simulation code, including trace, nam
Update : 2024-03-28 Size : 32768 Publisher : wyao

DL : 0
此程序由python+pyQt编写完成,能较正确的模拟DES的加解密,但有不足!仅供参考!-This program written by the python+ pyQt completed, can a more accurate simulation DES encryption and decryption, but there is insufficient! Reference purposes only!
Update : 2024-03-28 Size : 44032 Publisher :

DL : 0
MFC实现DES的加密解密模拟,密钥为64位,-MFC to achieve DES encryption and decryption simulation, the key is 64 bits,
Update : 2024-03-28 Size : 29696 Publisher : greenwheat

DL : 0
C#写的算法模拟,含有DES,RSA,密码模式等(CBC/ECB)等,但还有一部分没有完成。DES源代码自己用C++写的,已经编译成DLL文件,在此用C#自己调用的其中的函数。-C# to write the algorithm simulation, with DES, RSA, password mode (CBC/ECB) and so on, but some are not completed. DES own source code written in C++, have been compiled into a DLL file, in this in C# which calls a function of their own.
Update : 2024-03-28 Size : 119808 Publisher : 蒋先鹏

。该法根据所研 究的问题构造检验统计量,并利用手头样本,按排列组合的原理导出检验统计量的理论抽样分布; 若难以导出确切的理论分布,则采用抽样模拟的方法估计其近似分布,然后求出从该分布中获得 手头样本及更极端样本的概率(P值),并界定此概率值,作出推论。-It is sample.based distribution-free method,which makes use of“permutation or combination”to get the theo. retical sampling distribution of the constmcted“statistics”,or get the approximate distribution by simulation under the nuU hypothesis,gets the pmbability of the sample-at—hand,and dmws a conclusion after comparing the probability w“h the size of the test.It especiaUy supports small samples without distributional assumptions(e.g.normdb2y)and complex des培ns for which traditional methods are hard to solVe.
Update : 2024-03-28 Size : 8192 Publisher : 徐伟云

SIMULATION AND SYNTHESIS OF TRIPLE-DES BLOCK CIPHER USING VHDL
Update : 2024-03-28 Size : 11264 Publisher : saipraveen

CA authDES
DL : 0
这个是用C++模拟实现DES算法的加密的程序-This is written in c++ simulation realization DES algorithm encryption program
Update : 2024-03-28 Size : 3072 Publisher : 唐风
« 12 3 »
DSSZ is the largest source code store in internet!
Contact us :
1999-2046 DSSZ All Rights Reserved.